Рефераты - Афоризмы - Словари
Русские, белорусские и английские сочинения
Русские и белорусские изложения
 

Сумматор с параллельным переносом и автомат Мили

Работа из раздела: «Цифровые устройства»


    1. Используя одноразрядные полные  сумматоры  построить  функциональную
       схему  трехразрядного  накапливающего   сумматора   с   параллельным
       переносом.

    РЕШЕНИЕ:
        Одноразрядный  сумматор рис.1  имеет  три  входа  (два  слагаемых  и
    перенос из предыдущего разряда)  и  два  выхода  (суммы  и  переноса  в
    следующий разряд).

|Таблица истинности одноразрядного      |
|сумматора.                             |
|ai     |bi     |ci-1  |Si    |Ci    |
|0      |0      |0     |0     |0     |
|0      |0      |1     |1     |0     |
|0      |1      |0     |1     |0     |
|0      |1      |1     |0     |1     |
|1      |0      |0     |1     |0     |
|1      |0      |1     |0     |1     |
|1      |1      |0     |0     |1     |
|1      |1      |1     |1     |1     |

        Сумматоры  для  параллельных  операндов  с  параллельным   переносом
    разработаны для получения максимального быстродействия.
        Для  построения  сумматора  с  параллельным  переносом  введем   две
    вспомогательные функции.
        Функция генерации – принимает единичное  значение  если  перенос  на
    выходе данного разряда появляется независимо от наличия или  отсутствия
    входного переноса.


        Функция прозрачности – принимает единичное значение, если перенос на
    выходе данного разряда появляется только при наличии входного переноса.


        Сформируем перенос на выходе младшего разряда:


        На выходе следующего разряда:



        В базисе И-НЕ:



        Накапливающий сумматор  представляет  собой  сочетание  сумматора  и
    регистра. Регистр выполним на D-триггерах (рис. 2).



 2.
 3. Построить схему электрическую принципиальную управляющего автомата Мили
 4. для следующей микропрограммы:


    РЕШЕНИЕ:
 1. Построение графа функционирования:
       Управляющее    устройство    является     логическим     устройством
       последовательностного  типа.  Микрокоманда  выдаваемая  в  следующем
       тактовом  периоде,  зависит  от  состояния   в   котором   находится
       устройство. Для определения состояний устройства произведем разметку
       схемы алгоритма, представленной в микрокомандах (Рис. 1).
       Полученные отметки а0,  а1,  а2,  а3,  а4  соответствуют  состояниям
       устройства.  Устройство  имеет   пять   состояний.   Построим   граф
       функционирования.

       Кодирование состояний устройства.
| В процессе кодирования состояний каждому      |Таблица 1             |
|состоянию устройства должна быть поставлена в  |                      |
|соответствие некоторая кодовая комбинация.     |                      |
|Число разрядов кодов выбирается из следующего  |                      |
|условия:        , где М – число кодовых        |                      |
|комбинаций, k – число разрядов.                |                      |
|В рассматриваемом устройстве М = 5 k = 3.      |                      |
|                                               |Сос|Кодовые          |
|                                               |тоя|комбинации       |
|                                               |ние|                 |
|                                               |   |Q3   |Q2   |Q1  |
|                                               |а0 |0    |0    |0   |
|                                               |а1 |0    |0    |1   |
|                                               |а2 |0    |1    |0   |
|                                               |а3 |0    |1    |1   |
|                                               |а4 |1    |0    |0   |

           Соответствие   между   состояниями   устройства    и    кодовыми
       комбинациями зададим в таблице 1.
 2. Структурная схема управляющего устройства.



 3. Построение таблицы функционирования.
|Текущее         |Следующее       |Условия   |Входные сигналы            |
|состояние       |состояние       |перехода  |                           |
|обо|Кодовая     |обо|Кодовая     |          |Сигналы    |Управляющие    |
|зна|комбинация  |зна|комбинация  |          |установки  |микрокоманды   |
|чен|            |чен|            |          |триггеров  |               |
|ие |            |ие |            |          |           |               |
|   |Q3 |Q2 |Q1 |   |Q3 |Q2 |Q1 |          |           |               |
|а0 |0  |0  |0  |а1 |0  |0  |1  |Х1; Х2    |S1         |Y1; Y4         |
|а0 |0  |0  |0  |а0 |0  |0  |0  |Х1        |---        |---            |
|а0 |0  |0  |0  |а4 |1  |0  |0  |Х1; Х2    |S3         |Y5; Y8         |
|а1 |0  |0  |1  |а2 |0  |1  |0  |---       |S2; R1     |Y2;Y3          |
|а2 |0  |1  |0  |а3 |0  |1  |1  |---       |S1         |Y6;Y10         |
|а3 |0  |1  |1  |а0 |0  |0  |0  |Х4        |R2; R1     |Y7             |
|а3 |0  |1  |1  |а1 |0  |0  |1  |Х4        |R2         |---            |
|а4 |1  |0  |0  |а0 |0  |0  |0  |Х3        |R3         |Y9             |
|а4 |1  |0  |0  |а2 |0  |1  |0  |Х3        |R3; S2     |---            |

                         Таблица перехода RS триггера.
|Вид перехода  |Сигналы на входах  |
|триггера      |триггера           |
|              |S       |R        |
|0       0     |0       |-        |
|0      1      |1       |0        |
|1      0      |0       |1        |
|1      1      |-       |0        |

 4. Запишем логические  выражения  для  выходных  значений  комбинационного
    узла.


|S1 Y1 Y4 = a0                                                                 |
|S3 Y5 Y8 = X1 X2 a0                                                           |
|S2 R1 Y2 Y3 = a1                                                              |
|S1 Y6 Y10 = a2                                                                |
|R2 R1  Y7 = X4 a3                                                             |
|R2 = X4 a3                                                                    |
|R3 Y9 = X3 a4                                                                 |
|R3 S2  = X3 a4                                                                |

    Определим логическое выражение для каждой выходной величины.

|S3 = X1 X2 a0                                                                 |
|S2 = a1 ( X3 a4                                                               |
|S1 = a0 ( a1                                                                  |
|R3 = X3 a4 ( X3 a4                                                            |
|R2 = X4 a3  (  X4 a3                                                          |
|R1 = a1 ( X4 a3                                                               |
|Y1 Y4 = a0                                                                    |
|Y5 Y8 = X1 X2 a0                                                              |
|Y2 Y3 = a1                                                                    |
|Y6 Y10 = a2                                                                   |
|Y7 = X4a3                                                                     |
|Y9 = X3a4                                                                     |


 5. Построение логической схемы комбинационного узла.
       Входящие в выражения значения  a0,  a1,  a2,  a3,  a4,  определяемые
       комбинацией значений Q3,  Q2,  Q1  могут  быть  получены  с  помощью
       дешифратора.

-----------------------
ai
                                                                          Si
bi
                                                                          Ci
ci-1

    Рис. 1

gi = ai    bi

hi = ai    bi

С0 = g0     Cвхh0

С1 = g1     C0h1

С1 = g1     g0 h1      Cвхh1h0

С0 = g0     Cвх        h0 = a0        b0     Cвх        h0

С1 =  a1        b1      a0      b0        h1        Cвх      h1h0

D

C

Т

Q

Q

Рис. 2

                                   НАЧАЛО

                                  ОКОНЧАНИЕ

                                     X 1

                                     X 2

                                     X 3

                                     X 4

                                  Y 1, Y 4

                                  Y 2, Y 3

                                  Y 6, Y10

    Y 7

                                  Y 5, Y 8

                                     Y 9

                                      1

                                      0

                                      1

                                      0

                                      1

                                      0

                                      1

                                      0

                                      0

                                      1

                                      0

                                      1

                                      0

                                      1

                                      0

                                      1

                                     Y 9

                                  Y 5, Y 8

    Y 7

                                  Y 6, Y10

                                  Y 2, Y 3

                                  Y 1, Y 4

                                     X 4

                                     X 3

                                     X 2

                                     X 1

                                  ОКОНЧАНИЕ

                                   НАЧАЛО

                                    Рис.1

                                     а 1

                                     а 2

                                     а 3

                                     а 0

                                     а 4

                                     а 0

    [pic]

                             Комбинационный узел

X1

X2

X3

X4

Y1

Y2

Y3

Y4

Y5

Y6

Y7

Y8

Y9

Y10

S
C
R

                                     T1

S
C
R

                                     T2

S
C
R

                                     T3

                                     S3

R3

R1

R2

                                     S1

                                     S2

                                      C


1

2

3

                                                                           0
                                                                           1
                                                                           2
                                                                           3
                                                                           4
                                                                           5
                                                                           6
                                                                           7

                                     DC



                                      1

                                      1

                                      1

                                      1

                                      1

                                      &

                                      &

                                      &

                                      &

                                     Y 8

                                     Х 1

                                     Х 3

                                     Х 2

                                     Х 4

                                     а 0

                                     а 1

                                     а 2

                                     а 3

                                     а 4

                                     Q 3

                                     Q 1

                                     Q 2

                                     а 4

                                     а 3

                                     а 2

                                     а 1

                                     а 0

                                     Х 4

                                     Х 2

                                     Х 3

                                     Х 1

                                     Х 4

                                     Х 2

                                     Х 3

                                     S 3

                                     S 2

                                     S 1

                                     R 3

                                     Y 1

                                     Y 7

                                     Y 5

                                      &

                                     Х 4

                                     Х 2

                                     Х 3

                                     Х 4

                                     Х 2

                                     Х 3

                                     Х 1

                                     а 4

                                     а 3

                                     а 2

                                     а 1

                                     а 0

                                     R 2

                                     Y 4

                                     R 1

                                     Y 9

                                     a1

                                     a0

                                     Y 3

                                     Y 2

Y 10

                                     Y 6

                                     a3

                                     a4

                                     a2

                                     Q0

                                     Q1

                                     Q2

                                     Q0

                                     Q1

                                     Q2

                                      C

                                     T0

                                      D

                                      C

                                     T1

                                      D

                                      C

                                     T2

                                      D

                                      C

                                      &

                                     X1

                                     Y0

                                     X0

                                      &

                                     Y1

                                     X1

                                     Y0

                                     X0

                                      P

                                     Y0

                                      &

                                     S2

                                      P

                                     Y1

                                     X0

                                     S1

                                     Y1

                                     X1

                                                                           S

a SM1
b
p

                                     S0

                                      P

                                     Y0

                                     X0

                                                                           S

a SM0
b
p

                                     Y2

                                     X2

                                      &

                                      &

                                      &

                                      &

                                      &

                                      &

                                                                           S

a SM2
b
p


             Министерство общего и профессионального образования


              Самарский государственный технический университет



                     Кафедра: Робототехнические системы



                             Контрольная работа

                    Цифровые устройства и микропроцессоры



                                Самара, 2001

X1, Х2; Y5, Y8

X1, Х2; Y1, Y4

---; Y2, Y3

X4; ---

---; Y6, Y10

X4; Y7

X3; ---

X3; Y9

X1; ---



ref.by 2006—2022
contextus@mail.ru